Using HW Transactional Memory

[Kuszmaul and Leiserson, 2003]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Bradley C. Kuszmaul and Charles E. Leiserson (jan 2003).
Transactions Everywhere.
[Moreshet et al., 2005]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Tali Moreshet and R. Iris Bahar and Maurice Herlihy (aug 2005).
Energy reduction in multiprocessor systems using transactional memory (poster).
In: ISLPED~'05: Proceedings of the 2005 international symposium on Low power electronics and design. pp. 331--334.
[Njoroge et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Njuguna Njoroge and Sewook Wee and Jared Casper and Justin Burdick and Yuriy Teslyar and Christos Kozyrakis and Kunle Olukotun (Feb 2006).
Building and Using the ATLAS Transactional Memory System.
In: Workshop on Architecture Research using FPGA Platforms, 12th International Symposium on High-Performance Computer Architecture.
[Chung et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)
JaeWoong Chung and Chi Cao Minh and Brian D. Carlstrom and Christos Kozyrakis (Jun 2006).
Parallelizing SPECjbb2000 with Transactional Memory.
In: Proc. Workshop on Transactional Workloads.
[Riley and Zilles, 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Nicholas Riley and Craig Zilles (Oct 2006).
Hardware Transactional Memory Support for Lightweight Dynamic Language Evolution.
In: Dynamic Language Symposium.
[Carlstrom et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Brian D. Carlstrom and JaeWoong Chung and Hassan Chafi and Austen McDonald and Chi Cao Minh and Lance Hammond and Christos Kozyrakis and Kunle Olukotun (December 2006).
Executing Java programs with transactional memory.
In: Science of Computer Programming, 63(10):111--129.
[Guerraoui et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)
Rachid Guerraoui and Michal Kapalka and Jan Vitek (Mar 2007).
STMBench7: A Benchmark for Software Transactional Memory.
In: Proceedings of the Second European Systems Conference (EuroSys2007). pp. 315--324. Published by ACM.
[Porter et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Donald E. Porter and Owen S. Hofmann and Emmett Witchel (May 2007).
Is the Optimism in Optimistic Concurrency Warranted?
In: Proceedings of the 11th Workshop on Hot Topics in Operating Systems.
[Bobba et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Jayaram Bobba and Kevin E. Moore and Luke Yen and Haris Volos and Mark D. Hill and Michael M. Swift and David A. Wood (Jun 2007).
Performance Pathologies in Hardware Transactional Memory.
In: Proceedings of the 34th Annual International Symposium on Computer Architecture.
[Neelakantam et al., 2007]
 
Show BibTeX entryVisit the webpage of the paper
Naveen Neelakantam and Ravi Rajwar and Suresh Srinivas and Uma Srinivasan and Craig Zilles (June 2007).
Hardware Atomicity for Reliable Software Speculation .
In: Proceedings of the 34th Annual International Symposium on Computer Architecture.
[Baugh and Zilles, 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Lee Baugh and Craig Zilles (aug 2007).
Analysis of I/O and Syscalls in Critical Sections and Their Implications for Transactional Memory.
In: TRANSACT~'07: 2nd Workshop on Transactional Computing.
[Rossbach et al., 2007]
 
Show BibTeX entryVisit the webpage of the paper
Christopher J. Rossbach and Owen S. Hofmann and Donald E. Porter and Hany E. Ramadan and Aditya Bhandari and Emmett Witchel ( 2007).
TxLinux: using and managing hardware transactional memory in an operating system.
In: SOSP '07: Proceedings of twenty-first ACM SIGOPS Symposium on Operating Systems Principles. New York, NY, USA, pp. 87--102. Published by ACM.
[Titos et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
J. Rub\'en Titos and Manuel E. Acacio and Jos\'e M. Garc\'\ia (feb 2008).
Characterization of Conflicts in Log-Based Transactional Memory (LogTM).
In: PDP~'08: Proc. 16th EuroMicro International Conference on Parallel, Distributed, and Network-Based Processing. pp. 30--37.
[Chung et al., 2008]
 
Show BibTeX entry
JaeWoong Chung and Michael Dalton and Hari Kannan and Christos Kozyrakis (Feb 2008).
Thread-Safe Dynamic Binary Translation Using Transactional Memory.
In: Proceedings of the 18th International Symposium on High-Performance Computer Architecture.
[Dice et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Dave Dice and Maurice Herlihy and Doug Lea and Yossi Lev and Victor Luchangco and Wayne Mesard and Mark Moir and Kevin Moore and Dan Nussbaum (feb 2008).
Applications of the Adaptive Transactional Memory Test Platform.
In: TRANSACT~'08: 3rd Workshop on Transactional Computing.
[Moir et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Mark Moir and Kevin Moore and Dan Nussbaum (feb 2008).
The Adaptive Transactional Memory Test Platform: A Tool for Experimenting with Transactional Code for Rock.
In: TRANSACT~'08: 3rd Workshop on Transactional Computing.
[Baugh et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Lee Baugh and Naveen Neelakantam and Craig Zilles (June 2008).
Using Hardware Memory Protection to Build a High-Performance, Strongly Atomic Hybrid Transactional Memory.
In: Proceedings of the 35th Annual International Symposium on Computer Architecture.
[Carlstrom, 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Brian David Carlstrom (Jun 2008).
Programming with Transactional Memory.
PhD thesis, Stanford University.
[Drepper, 2008]
 
Show BibTeX entryVisit the webpage of the paper
Ulrich Drepper (sep 2008).
Parallel Programming with Transactional Memory.
In: Queue, 6(5):38--45.
[Rossbach et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Christopher J. Rossbach and Hany E. Ramadan and Owen S. Hofmann and Donald E. Porter and Aditya Bhandari and Emmett Witchel (sep 2008).
TxLinux and MetaTM: transactional memory and the operating system.
In: Communications of the ACM, 51(9):83--91. Earlier versions of this work appeared at ISCA~'07 and SOSP~'07.
[Cao Minh et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Chi Cao Minh and JaeWoong Chung and Christos Kozyrakis and Kunle Olukotun (September 2008).
STAMP: Stanford Transactional Applications for Multi-Processing.
In: IISWC '08: Proceedings of The IEEE International Symposium on Workload Characterization.
[Zyulkyarov et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Ferad Zyulkyarov and Adri\'an Cristal and Sanja Cvijic and Eduard Ayguad\'e and Mateo Valero and Osman S. Unsal and Tim Harris (oct 2008).
WormBench: a configurable workload for evaluating transactional memory systems.
In: MEDEA~'08: Proc. 9th workshop on MEmory performance. pp. 61--68.
[Lev and Maessen, 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Yossi Lev and Jan-Willem Maessen ( 2008).
Split hardware transactions: true nesting of transactions using best-effort hardware transactional memory.
In: PPoPP '08: Proceedings of the 13th ACM SIGPLAN Symposium on Principles and practice of parallel programming. New York, NY, USA, pp. 197--206. Published by ACM.
[Guerraoui and Kapalka, 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Rachid Guerraoui and Michal Kapalka ( 2008).
On the Correctness of Transactional Memory.
In: Proceedings of the 13th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP'08).
[Shriraman et al., 2009]
 
Show BibTeX entryDownload Article (pdf-file)
Arrvindh Shriraman and Sandhya Dwarkadas and Michael L. Scott (apr 2009).
Tapping into Parallelism with Transactional Memory.
In: The USENIX Magazine, 34(2):12--23.
[Gupta et al., 2009]
 
Show BibTeX entry
Shantanu Gupta and Florin Sultan and Srihari Cadambi and Franjo Ivancic and Martin Rotteler (may 2009).
Using hardware transactional memory for data race detection.
In: IPDPS~'09: Proc. 23rd International Parallel and Distributed Processing Symposium.
[Gajinov et al., 2009]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Vladimir Gajinov and Ferad Zyulkyarov and Osman S. Unsal and Adri\'an Cristal and Eduard Ayguad\'e and Tim Harris and Mateo Valero (jun 2009).
QuakeTM: parallelizing a complex sequential application using transactional memory.
In: ICS~'09: Proc. 23rd international conference on Supercomputing. pp. 126--135.
[Nikas et al., 2009]
 
Show BibTeX entry
Konstantinos Nikas and Nikos Anastopoulos and Georgios Goumas and Nektarios Koziris (sep 2009).
Employing Transactional Memory and Helper Threads to Speedup Dijkstra's Algorithm.
In: ICPP~'09: Proc. 38th International Conference on Parallel Processing.
[Pankratius et al., 2009]
 
Show BibTeX entry
Victor Pankratius and Ali-Reza Adl-Tabatabai and Frank Otto (sep 2009).
Does Transactional Memory Keep Its Promises? Results from an Empirical Studynumber = 2009-12.
Technical Report. IPD, University of Karlsruhe, Germany.
[Hughes et al., 2009]
 
Show BibTeX entryVisit the webpage of the paper
C. Hughes and J. Poe and A. Qouneh and Tao Li (Oct 2009).
On the (dis)similarity of transactional memory workloads.
In: Workload Characterization, 2009. IISWC 2009. IEEE International Symposium on. pp. 108-117.
[Ahn et al., 2009]
 
Show BibTeX entryDownload Article (pdf-file)
Wonsun Ahn and Shanxiang Qi and Jae-Woo Lee and Marios Nicolaides and Xing Fang and Josep Torrellas and David Wong and Samuel Midkiff (Dec 2009).
BulkCompiler: High-Performance Sequential Consistency through Cooperative Compiler and Hardware Support.
In: Proceedings of the 42nd International Symposium on Microarchitecture.
[Porter and Witchel, 2010]
 
Show BibTeX entryVisit the webpage of the paper
Donald E. Porter and Emmett Witchel (March 2010).
Understanding Transactional Memory Performance.
In: Proceedings of the 2010 IEEE International Symposium on Performance Analysis of Software Systems. pp. 97--108.