From Vertical Research Group

Pubs: A Fast and Highly Accurate Path Delay Emulation Framework for Logic-Emulation of Timing Speculation

| Sorted by Date | Classified by Publication Type | Classified by Research Category |

Shuou Nomura, Karthikeyan Sankaralingam, and Ranganathan Sankaralingam. A Fast and Highly Accurate Path Delay Emulation Framework for Logic-Emulation of Timing Speculation. In Proceedings of the 22nd International Test Conference (ITC), 2010.

Download

[PDF] [Slides]

Abstract

This paper proposes a novel path-delay fault emulation techniquecalled Replay. We specifically show it allows FPGA emulation ofdigital ICs that adopt timing-speculation techniques. For eachflip-flop, Replay builds a timing-error predictor based on timingspeculationsās aggressive clock period. We use a heuristic whichreplicates the combination logic and uses path delays to determinewhich paths will be excited based on the aggressive clock period. Thetiming-error prediction accuracy is more than 99% for a set of realworkloads on the OpenRISC processor and the FPGA emulation speed showspractically no slowdown. We also demonstrate that Replay can evaluatethe impact of voltage-drop timing-faults. This fast and accuratetiming-error prediction enables practical emulation oftiming-speculation and quantitative analysis early in thedesign-cycle.

BibTeX

 @inproceedings{itc10:replay,
   author={Shuou Nomura and Karthikeyan Sankaralingam and Ranganathan Sankaralingam},
   title={A Fast and Highly Accurate Path Delay Emulation Framework for Logic-Emulation of Timing Speculation},
   booktitle="{Proceedings of the 22nd International Test Conference (ITC)}",
   year={2010},
   abstract = {
 This paper proposes a novel path-delay fault emulation technique
 called Replay. We specifically show it allows FPGA emulation of
 digital ICs that adopt timing-speculation techniques. For each
 flip-flop, Replay builds a timing-error predictor based on timing
 speculationsās aggressive clock period. We use a heuristic which
 replicates the combination logic and uses path delays to determine
 which paths will be excited based on the aggressive clock period. The
 timing-error prediction accuracy is more than 99% for a set of real
 workloads on the OpenRISC processor and the FPGA emulation speed shows
 practically no slowdown. We also demonstrate that Replay can evaluate
 the impact of voltage-drop timing-faults. This fast and accurate
 timing-error prediction enables practical emulation of
 timing-speculation and quantitative analysis early in the
 design-cycle.
 },
   bib_dl_pdf = {http://www.cs.wisc.edu/vertical/papers/2010/itc10-replay.pdf},
   bib_dl_ppt = {http://www.cs.wisc.edu/vertical/talks/2010/itc10-replay.pdf},
   bib_pubtype = {Refereed Conference},
   bib_rescat = {Architecture}
 }

Generated by bib.pl (written by Patrick Riley ) on Thu Mar 04, 2021 10:09:29 time=1207019082

Retrieved from https://research.cs.wisc.edu/vertical/wiki/index.php/Pubs/B2hd-itc10replay
Page last modified on March 29, 2024, at 03:47 AM