Transactional Memory

[Jensen et al., 1987]
 
Show BibTeX entry
Eric H. Jensen and Gary W. Hagensen and Jeffrey M. Broughton (Nov 1987).
A New Approach to Exclusive Data Access in Shared Memory Multiprocessors.
(Technical Report UCRL-97663).
[Chang and Mergen, 1988]
 
Show BibTeX entryVisit the webpage of the paper
Albert Chang and Mark F. Mergen ( 1988).
801 Storage: Architecture and Programming.
In: ACM Transactions on Computer Systems (TOCS). Volume 61. pp. 28--50.
[Herlihy and Moss, 1993]
 
Show BibTeX entryVisit the webpage of the paper
Maurice Herlihy and J. Eliot B. Moss (May 1993).
Transactional Memory: Architectural Support for Lock-Free Data Structures.
In: Proceedings of the 20th Annual International Symposium on Computer Architecture. pp. 289--300.
[Stone et al., 1993]
 
Show BibTeX entry
Janice M. Stone and Harold S. Stone and Phil Heidelberger and John Turek (Nov 1993).
Multiple Reservations and the Oklahoma Update.
In: IEEE Parallel & Distributed Technology, 1(4):58--71.
[Rajwar, 2002]
 
Show BibTeX entryDownload Article (pdf-file)
Ravi Rajwar (Oct 2002).
Speculation-Based Techniques for Transactional Lock-Free Execution of Lock-Based Programs.
PhD thesis, University of Wisconsin.
[Rajwar and Goodman, 2002]
 
Show BibTeX entryVisit the webpage of the paper
Ravi Rajwar and James R. Goodman (Oct 2002).
Transactional Lock-Free Execution of Lock-Based Programs.
In: Proceedings of the Tenth Symposium on Architectural Support for Programming Languages and Operating Systems. pp. 5--17.
[Rajwar and Bernstein, 2003]
 
Show BibTeX entryDownload Article (pdf-file)
Ravi Rajwar and Philip A. Bernstein (Oct 2003).
Atomic Transactional Execution in Hardware: A New High-Performance Abstraction for Databases.
In: Position paper for the 10th International Workshop on High Performance Transaction Systems.
[Rajwar and Goodman, 2003]
 
Show BibTeX entryDownload Article (pdf-file)
Ravi Rajwar and James R. Goodman (Nov-Dec 2003).
Transactional Execution: Toward Reliable, High-Performance Multithreading..
In: IEEE Micro, 23(6):117-125.
[Lie, 2004]
 
Show BibTeX entryDownload Article (pdf-file)
Sean Lie (May 2004).
Hardware Support for Unbounded Transactional Memory.
Masters thesis, Massachusetts Institute of Technology.
[Hammond et al., 2004]
 
Show BibTeX entryDownload Article (pdf-file)
Lance Hammond and Vicky Wong and Mike Chen and Brian D. Carlstrom and John D. Davis and Ben Hertzberg and Manohar K. Prabhu and Honggo Wijaya and Christos Kozyrakis and Kunle Olukotun (Jun 2004).
Transactional Memory Coherence and Consistency.
In: Proceedings of the 31st Annual International Symposium on Computer Architecture. pp. 102. IEEE Computer Society.
[Moore, 2004]
 
Show BibTeX entry
Kevin E. Moore (Oct 2004).
Thread-Level Transactional Memory.
In: Wisconsin Industrial Affiliates Meeting. Wisconsin Industrial Affiliates Meeting.
[Hammond et al., 2004]
 
Show BibTeX entryDownload Article (pdf-file)
Lance Hammond and Brian D. Carlstrom and Vicky Wong and Mike Chen and Christos Kozyrakis and Kunle Olukotun (Nov-Dec 2004).
Transactional Coherence and Consistency: Simplifying Parallel Hardware and Software.
In: IEEE Micro, 24(6).
[Ananian et al., 2005]
 
Show BibTeX entryDownload Article (pdf-file)
C. Scott Ananian and Krste Asanovic and Bradley C. Kuszmaul and Charles E. Leiserson and Sean Lie (Feb 2005).
Unbounded Transactional Memory.
In: Proceedings of the Eleventh International Symposium on High-Performance Computer Architecture. pp. 316--327.
[Moore et al., 2005]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Kevin E. Moore and Mark D. Hill and David A. Wood (Mar 2005).
Thread-Level Transactional Memory.
In: Technical Report: CS-TR-2005-1524, Dept. of ComputerSciences, University of Wisconsin, :1--11.
[Rajwar et al., 2005]
 
Show BibTeX entryDownload Article (pdf-file)
Ravi Rajwar and Maurice Herlihy and Konrad Lai (Jun 2005).
Virtualizing Transactional Memory.
In: Proceedings of the 32nd Annual International Symposium on Computer Architecture. pp. 494--505. IEEE Computer Society.
[McDonald et al., 2005]
 
Show BibTeX entryDownload Article (pdf-file)
Austen McDonald and JaeWoong Chung and Hassan Chafi and Chi Cao Minh and Brian D. Carlstrom and Lance Hammond and Christos Kozyrakis and Kunle Olukotun (Sept 2005).
Characterization of TCC on Chip-Multiprocessors.
In: Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques.
[Goetz, 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Brian Goetz (Jan 2006).
Optimistic Thread Concurrency.
Azul Systems Whitepaper.
[Moore et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Kevin E. Moore and Jayaram Bobba and Michelle J. Moravan and Mark D. Hill and David A. Wood (Feb 2006).
LogTM: Log-based Transactional Memory.
In: Proceedings of the 12th International Symposium on High-Performance Computer Architecture. pp. 254--265.
[Njoroge et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Njuguna Njoroge and Sewook Wee and Jared Casper and Justin Burdick and Yuriy Teslyar and Christos Kozyrakis and Kunle Olukotun (Feb 2006).
Building and Using the ATLAS Transactional Memory System.
In: Workshop on Architecture Research using FPGA Platforms, 12th International Symposium on High-Performance Computer Architecture.
[Blundell et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Colin Blundell and E Christopher Lewis and Milo M. K. Martin (Apr 2006).
Unrestricted Transactional Memory: Supporting I/O and System Calls within Transactions.
Technical Report Nr. CIS-06-09. Department of Computer and Information Science, University of Pennsylvania.
[McDonald et al., 2006]
 
Show BibTeX entry
Austen McDonald and JaeWoong Chung and D. Carlstrom Brian and Chi Cao Minh and Hassan Chafi and Christos Kozyrakis and Kunle Olukotun (Jun 2006).
Architectural Semantics for Practical Transactional Memory.
pp. 53-65.
[Zilles and Baugh, 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Craig Zilles and Lee Baugh (Jun 2006).
Extending Hardware Transactional Memory to Support Nonbusy Waiting and Nontransactional Actions.
In: Proceedings of the First ACM SIGPLAN Workshop on Languages, Compilers, and Hardware Support for Transactional Computing.
[Manovit et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)
Chaiyasit Manovit and Sudheendra Hangal and Hassan Chafi and Austen McDonald and Christos Kozyrakis and Kunle Olukotun (sep 2006).
Testing implementations of transactional memory.
In: PACT~'06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques. pp. 134--143.
[Moravan et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Michelle J. Moravan and Jayaram Bobba and Kevin E. Moore and Luke Yen and Mark D. Hill and Ben Liblit and Michael M. Swift and David A. Wood (Oct 2006).
Supporting nested transactional memory in logTM.
In: ASPLOS-XII: Proceedings of the 12th international conference on Architectural support for programming languages and operating systems. pp. 359--370. ACM Press, New York, NY, USA.
[Chung et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
JaeWoong Chung and Chi Cao Minh and Austen McDonald and Travis Skare and Hassan Chafi and Brian D. Carlstrom and Christos Kozyrakis and Kunle Olukotun (Oct 2006).
Tradeoffs in Transactional Memory Virtualization.
In: ASPLOS-XII: Proceedings of the 12th international conference on Architectural support for programming languages and operating systems. ACM Press.
[Adl-Tabatabai et al., 2006]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Ali-Reza Adl-Tabatabai and Christos Kozyrakis and Bratin Eswaran Saha (Dec 2006).
Unlocking Concurrency: Multicore Programming with Transactional Memory.
In: ACM Queue, 4(10):24--33.
[Chuang et al., 2006]
 
Show BibTeX entryVisit the webpage of the paper
Weihaw Chuang and Satish Narayanasamy and Ganesh Venkatesh and Jack Sampson and Michael Van Biesbrouck and Gilles Pokam and Brad Calder and Osvaldo Colavin ( 2006).
Unbounded page-based transactional memory.
In: ASPLOS-XII: Proceedings of the 12th international conference on Architectural support for programming languages and operating systems. pp. 347--358. Published by ACM.
[Larus and Rajwar, 2006]
 
Show BibTeX entryVisit the webpage of the paper
James R. Larus and Ravi Rajwar ( 2006).
Transactional Memory.
Morgan & Claypool.
[Yen et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Luke Yen and Jayaram Bobba and Michael M. Marty and Kevin E. Moore and Haris Volos and Mark D. Hill and Michael M. Swift and David A. Wood (Feb 2007).
LogTM-SE: Decoupling Hardware Transactional Memory from Caches.
In: Proceedings of the 13th International Symposium on High-Performance Computer Architecture(HPCA).
[Kachris and Kulkarni, 2007]
 
Show BibTeX entryVisit the webpage of the paper
Christoforos Kachris and Chidamber Kulkarni (April 2007).
Configurable Transactional Memory.
In: Proceedings of the 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines. pp. 65--72.
[Njoroge et al., 2007]
 
Show BibTeX entry
Njuguna Njoroge and Jared Casper and Sewook Wee and Yuriy Teslyar and Daxia Ge and Christos Kozyrakis and Kunle Olukotun (Apr 2007).
ATLAS: A Chip-Multiprocessor with Transactional Memory Support.
In: Proceedings of the Conference on Design Automation and Test in Europe.
[Blake and Mudge, 2007]
 
Show BibTeX entryDownload Article (pdf-file)
Geoffrey Blake and Trevor Mudge (jun 2007).
Duplicating and Verifying LogTM with OS Support in the M5 Simulator.
In: WDDD~'07: Proc. 6th Workshop on Duplicating, Deconstructing, and Debunking.
[Cao Minh et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Chi Cao Minh and Martin Trautmann and JaeWoong Chung and Austen McDonald and Nathan Bronson and Jared Casper and Christos Kozyrakis and Kunle Olukotun (Jun 2007).
An Effective Hybrid Transactional Memory System with Strong Isolation Guarantees.
In: Proceedings of the 34th Annual International Symposium on Computer Architecture.
[Shriraman et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Arrvindh Shriraman and Michael F. Spear and Hemayet Hossain and Virendra Marathe and Sandhya Dwarkadas and Michael L. Scott (Jun 2007).
An Integrated Hardware-Software Approach To Flexible Transactional Memory.
In: Proceedings of the 34rd Annual International Symposium on Computer Architecture.
[Hofmann et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Owen S. Hofmann and Donald E. Porter and Christopher J. Rossbach and Hany E. Ramadan and Emmett Witchel (aug 2007).
Solving Difficult HTM Problems Without Difficult Hardware.
In: TRANSACT~'07: 2nd Workshop on Transactional Computing.
[Tremblay, 2007]
 
Show BibTeX entry
Marc Tremblay (aug 2007).
Transactional memory for a modern microprocessor.
[Blundell et al., 2007]
 
Show BibTeX entryVisit the webpage of the paper
Colin Blundell and Joe Devietti and E. Christopher Lewis and Milo M. K. Martin ( 2007).
Making the fast case common and the uncommon case simple in unbounded transactional memory.
In: SIGARCH Comput. Archit. News, 35(2):24--34.
[Ferri et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Cesare Ferri and Tali Moreshet and R. Iris Bahar and Luca Benini and Maurice Herlihy ( 2007).
A hardware/software framework for supporting transactional memory in a MPSoC environment.
In: SIGARCH Comput. Archit. News, 35(1):47--54.
[Ramadan et al., 2007]
 
Show BibTeX entryVisit the webpage of the paper
Hany E. Ramadan and Christopher J. Rossbach and Donald E. Porter and Owen S. Hofmann and Aditya Bhandari and Emmett Witchel ( 2007).
MetaTM/TxLinux: transactional memory for an operating system.
In: ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture. New York, NY, USA, pp. 92--103. Published by ACM.
[Chafi et al., 2007]
 
Show BibTeX entryVisit the webpage of the paper
Hassan Chafi and Jared Casper and Brian D. Carlstrom and Austen McDonald and Chi Cao Minh and Woongki Baek and Christos Kozyrakis and Kunle Olukotun ( 2007).
A Scalable, Non-blocking Approach to Transactional Memory.
In: HPCA. pp. 97-108.
[Sanchez et al., 2007]
 
Show BibTeX entryVisit the webpage of the paper
Daniel Sanchez and Luke Yen and Mark D. Hill and Karthikeyan Sankaralingam ( 2007).
Implementing Signatures for Transactional Memory.
In: MICRO '07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. pp. 123--133. Published by IEEE Computer Society.
[Hill et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)
Mark D. Hill and Derek Hower and Kevin E. Moore and Michael M. Swift and Haris Volos and David A. Wood ( 2007).
A Case for Deconstructing Hardware Transactional Memory Systems.
Technical Report Nr. CS-TR-2007-1594. University of Wisconsin-Madison. Also Dagstuhl Seminar Proceedings 07361.
[Matveev et al., 2007]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Alex Matveev and Ori Shalev and Nir Shavit ( 2007).
Dynamic Identification of Transactional Memory Locations.
Unpublished Manuscript, Tel-Aviv University.
[Titos et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
J. Rub\'en Titos and Manuel E. Acacio and Jos\'e M. Garc\'\ia (feb 2008).
Characterization of Conflicts in Log-Based Transactional Memory (LogTM).
In: PDP~'08: Proc. 16th EuroMicro International Conference on Parallel, Distributed, and Network-Based Processing. pp. 30--37.
[Dice et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Dave Dice and Maurice Herlihy and Doug Lea and Yossi Lev and Victor Luchangco and Wayne Mesard and Mark Moir and Kevin Moore and Dan Nussbaum (feb 2008).
Applications of the Adaptive Transactional Memory Test Platform.
In: TRANSACT~'08: 3rd Workshop on Transactional Computing.
[Moir et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Mark Moir and Kevin Moore and Dan Nussbaum (feb 2008).
The Adaptive Transactional Memory Test Platform: A Tool for Experimenting with Transactional Code for Rock.
In: TRANSACT~'08: 3rd Workshop on Transactional Computing.
[Swift et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Michael Swift and Haris Volos and Neelam Goyal and Luke Yen and Mark Hill and David Wood (feb 2008).
OS Support for Virtualizing Hardware Transactional Memory.
In: TRANSACT~'08: 3rd Workshop on Transactional Computing.
[Vallejo et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Enrique Vallejo and Tim Harris and Adri\'an Cristal and Osman S. Unsal and Mateo Valero (feb 2008).
Hybrid Transactional Memory to accelerate safe lock-based transactions.
In: TRANSACT~'08: 3rd Workshop on Transactional Computing.
[Khan et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Behram Khan and Matthew Horsnell and Ian Rogers and Mikel Lujan and Andrew Dinn and Ian Watson (jun 2008).
A first insight into object-aware hardware transactional memory (brief announcement).
In: SPAA~'08: Proc. 20th Symposium on Parallelism in Algorithms and Architectures. pp. 107--109.
[Baugh et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Lee Baugh and Naveen Neelakantam and Craig Zilles (June 2008).
Using Hardware Memory Protection to Build a High-Performance, Strongly Atomic Hybrid Transactional Memory.
In: Proceedings of the 35th Annual International Symposium on Computer Architecture.
[Bobba et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Jayaram Bobba and Neelam Goyal and Mark D. Hill and Michael M. Swift and David A. Wood (Jun 2008).
TokenTM: Efficient Execution of Large Transactions with Hardware Transactional Memory.
In: Proceedings of the 35th Annual International Symposium on Computer Architecture.
[Shriraman et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)
Arrvindh Shriraman and Sandhya Dwarkadas and Michael L. Scott (Jun 2008).
Flexible Decoupled Transactional Memory Support.
In: Proceedings of the 35th Annual International Symposium on Computer Architecture.
[Wang et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Shaogang Wang and Dan Wu and Zhengbin Pang and Xiaodong Yang (sep 2008).
Software Assisted Transact Cache to Support Efficient Unbounded Transactional Memory.
In: HPCC~'08: Proc. 10th International Conference on High Performance Computing and Communications. pp. 77--84.
[Liu et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Yi Liu and Xin Zhang and He Li and Mingxiu Li and Depei Qian (sep 2008).
Hardware Transactional Memory Supporting I/O Operations within Transactions.
In: HPCC~'08: Proc. 10th International Conference on High Performance Computing and Communications. pp. 85-92.
[Khan et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Behram Khan and Matthew Horsnell and Ian Rogers and Mikel Luj\'an and Andrew Dinn and Ian Watson (sep 2008).
An object-aware hardware transactional memory.
In: HPCC~'08: Proc. 10th International Conference on High Performance Computing and Communications. pp. 93--102.
[Kotselidis et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Christos Kotselidis and Mohammad Ansari and Kim Jarvis and Mikel Luj\'an and Chris C. Kirkham and Ian Watson (sep 2008).
DiSTM: A Software Transactional Memory Framework for Clusters.
In: ICPP~'08: Proc. 37th International Conference on Parallel Processing.
[Pugsley et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Seth H. Pugsley and Manu Awasthi and Niti Madan and Naveen Muralimanohar and Rajeev Balasubramonian (oct 2008).
Scalable and reliable communication for hardware transactional memory.
In: PACT~'08: Proc. 17th international conference on Parallel architectures and compilation techniques. pp. 144--154.
[Lupon et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Marc Lupon and Grigorios Magklis and Antonio Gonz\'alez (oct 2008).
Version management alternatives for hardware transactional memory.
In: MEDEA~'08: Proceedings of the 9th workshop on MEmory performance.
[Larus and Kozyrakis, 2008]
 
Show BibTeX entryVisit the webpage of the paper
James Larus and Christos Kozyrakis (Dec 2008).
Transactional Memory.
In: Communications of the ACM, 51(7):80--88.
[Yen et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Luke Yen and Stark C. Draper and Mark D. Hill ( 2008).
Notary: Hardware techniques to enhance signatures.
In: MICRO '08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture. pp. 234--245. Published by IEEE Computer Society.
[Ramadan et al., 2008]
 
Show BibTeX entryVisit the webpage of the paper
Hany E. Ramadan and Christopher J. Rossbach and Emmett Witchel ( 2008).
Dependence-aware transactional memory for increased concurrency.
In: MICRO '08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture. pp. 246--257. Published by IEEE Computer Society.
[Titos et al., 2008]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Rub\'en Titos and Manuel E. Acacio and Jos\'e M. Garc\'\ia ( 2008).
Directory-Based Conflict Detection in Hardware Transactional Memory.
In: HiPC~'08: Proc. 15th International Conference on High Performance Computing. Springer-Verlag Lecture Notes in Computer Science volume 5374.
[Aydonat and Abdelrahman, 2009]
 
Show BibTeX entryDownload Article (pdf-file)
Utku Aydonat and Tarek Abdelrahman (feb 2009).
Hardware support for serializable transaction: a study of feasibility and performance.
In: TRANSACT~'09: 4th Workshop on Transactional Computing.
[Hofmann et al., 2009]
 
Show BibTeX entryVisit the webpage of the paper
Owen S. Hofmann and Christopher J. Rossbach and Emmett Witchel (mar 2009).
Maximum benefit from a minimal HTM.
In: ASPLOS '09: Proceeding of the 14th international conference on Architectural support for programming languages and operating systems. pp. 145--156. Published by ACM.
[Dice et al., 2009]
 
Show BibTeX entryVisit the webpage of the paper
Dave Dice and Yossi Lev and Mark Moir and Daniel Nussbaum (mar 2009).
Early experience with a commercial hardware transactional memory implementation.
:157--168.
[Pant and Byrd, 2009]
 
Show BibTeX entryVisit the webpage of the paper
Salil Pant and Gregory Byrd (may 2009).
Extending concurrency of transactional memory programs by using value prediction.
In: CF~'09: Proc. 6th ACM conference on Computing frontiers. pp. 11--20.
[Sanyal et al., 2009]
 
Show BibTeX entry
Sutirtha Sanyal and Sourav Roy and Adri\'an Cristal and Osman S. Unsal and Mateo Valero (may 2009).
Clock gate on abort: Towards energy-efficient hardware Transactional Memory.
In: HPPAC~'09: Proc. 5th Workshop on High-Performance, Power-Aware Computing.
[Titos et al., 2009]
 
Show BibTeX entryDownload Article (pdf-file)
Rub\'en Titos and Manuel E. Acacio and Jose M. Garcia (may 2009).
Speculation-based conflict resolution in hardware transactional memory.
In: IPDPS~'09: Proc. 23rd International Parallel and Distributed Processing Symposium.
[Wang et al., 2009]
 
Show BibTeX entryVisit the webpage of the paper
Ruibo Wang and Kai Lu and Xicheng Lu (jun 2009).
Investigating transactional memory performance on ccNUMA machines.
In: HPDC~'09: Proc. of the 18th ACM international symposium on High performance distributed computing. pp. 67--68.
[Shriraman and Dwarkadas, 2009]
 
Show BibTeX entryDownload Article (pdf-file)Visit the webpage of the paper
Arrvindh Shriraman and Sandhya Dwarkadas (jun 2009).
Refereeing conflicts in hardware transactional memory.
In: ICS~'09: Proc. 23rd international conference on Supercomputing. pp. 136--146. Also available as TR 939, Department of Computer Science, University of Rochester, September 2008.
[Pant and Byrd, 2009]
 
Show BibTeX entryVisit the webpage of the paper
Salil Pant and Gregory Byrd (jun 2009).
Limited early value communication to improve performance of transactional memory.
In: ICS~'09: Proc. 23rd international conference on Supercomputing. pp. 421--429.
[Sanyal et al., 2009]
 
Show BibTeX entryDownload Article (pdf-file)
Sutirtha Sanyal and Adri\'an Cristal and Osman S. Unsal and Mateo Valero and Sourav Roy (jun 2009).
Dynamically Filtering Thread-Local Variables in Lazy-Lazy Hardware Transactional Memory.
In: HPCC~'09: Proc. 11th Conference on High Performance Computing and Communications.
[Lupon et al., 2009]
 
Show BibTeX entry
Marc Lupon and Grigorios Magklis and Antonio Gonz\'alez (sep 2009).
FASTM: A Log-based Hardware Transactional Memory with Fast Abort Recovery.
In: PACT~'09: Proc. 18th International Conference on ParallelArchitectures and Compilation Techniques.
[Lupon et al., 2009]
 
Show BibTeX entry
Marc Lupon and Grigorios Magklis and Antonio Gonz\'alez (sep 2009).
FASTM: A Log-based Hardware Transactional Memory with Fast Abort Recovery.
In: PACT~'09: Proc. 18th International Conference on Parallel Architecture and Compilation Techniques.
[Porter et al., 2009]
 
Show BibTeX entryDownload Article (pdf-file)
Leo Porter and Bumyong Choi and Dean Tullsen (sep 2009).
Mapping Out a Path from Hardware Transactional Memory to Speculative Multithreading.
In: PACT~'09: Proc. 18th International Conference on Parallel Architectures and Compilation Techniques.
[Shaogang et al., 2009]
 
Show BibTeX entry
Wang Shaogang and Dan Wu and Zhengbin Pang and Xiaodong Yang (sep 2009).
DTM: Decoupled Hardware Transactional Memory To Support Unbounded Transaction and Operating System.
In: ICPP~'09: Proc. 38th International Conference on Parallel Processing.
[Chaudhry et al., 2009]
 
Show BibTeX entryVisit the webpage of the paper
Shailender Chaudhry and Robert Cypher and Magnus Ekman and Martin Karlsson and Anders Landin and Sherman Yip and H\rakan Zeffer and Marc Tremblay ( 2009).
Rock: A High-Performance Sparc CMT Processor.
In: IEEE Micro, 29(2):6-16.
[Chaudhry et al., 2009]
 
Show BibTeX entryVisit the webpage of the paper
Shailender Chaudhry and Robert Cypher and Magnus Ekman and Martin Karlsson and Anders Landin and Sherman Yip and H\aakan Zeffer and Marc Tremblay ( 2009).
Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor.
In: ISCA~'09: Proc. 36th annual International Symposium on Computer Architecture. pp. 484--495.
[Tomic et al., 2009]
 
Show BibTeX entry
Sasa Tomic and Cristian Perfumo and Chinmay Kulkarni and Adria Armejach and Adri\'an Cristal and Osman Unsal and Tim Harris and Mateo Valero ( 2009).
EazyHTM: Eager-Lazy Hardware Transactional Memory.
In: MICRO '09: Proceedings of the 2009 42nd IEEE/ACM International Symposium on Microarchitecture.