Computer Sciences Dept.

OpenSPLySER: The Integrated OpenSPARC and DySER Design

Jesse Benson, Ryan Cofell, Chris Frericks, Chen-Han Ho, Karthikeyan Sankaralingam
2011

The Dynamically Synthesized Execution (DySE) model has been proposed to improve the energy efficiency and performance of general purpose programmable processors. We describe how a DySE Resource (DySER) block can be integrated into a processor pipeline. The block size can be adjusted based on design constraints, but we integrate an 8x8 functional unit array into a simple in-order OpenSPARC T1 pipeline. The instruction set changes and the microarchitectural interface between the DySER block and processor are described.

Download this report (PDF)


Return to tech report index

 
Computer Science | UW Home